PicoBlaze

O PicoBlaze é um microcontrolador de 8 bits de arquitetura RISC Core compacto.

Possui boa relação custo-benefício e é otimizado em famílias de FPGAs como: ProTM, SpartanTM, VirtexTM-II e Virtex II. O PicoBlaze prevê o controle e tratamento de dados simples. Ele é otimizado e eficiente pois possui baixo custo de implantação. Ocupa apenas 12,5% de um FPGA.

Em implementações típicas de um único bloco de RAM em FPGA é possível armazenar até 1024 instruções de programa, que são carregadas automaticamente durante a configuração do FPGA. Mesmo com tal eficiência do recurso, o PicoBlaze executa respeitáveis 44 a 100 milhão de instruções por segundo (MIPS) dependendo da família alvo do FPGA e da classe da velocidade. O núcleo do PicoBlaze é totalmente incorporado ao FPGA alvo e não necessita de recursos externos sendo extremamente flexível. As suas funcionalidades básicas são facilmente ampliadas através dos pinos de entrada e saída.[1]

O PicoBlaze contém uma grande número de I/O que são flexíveis e de custo mais baixo em comparação a controladores do tipo off-the-shelf. O conjunto de periféricos podem ser personalizados para atender às necessidades da aplicação alvo. O PicoBlaze é disponibilizado como código fonte sintetizável escrito em VHDL, dessa maneira o seu núcleo pode ser migrado para novos FPGAs eliminando a criação de produtos obsoletos. Integrar o PicoBlaze em um FPGA reduz o espaço de ocupação em silício e custo de desenvolvimento.

O PicoBlaze é apoiado por um conjunto de ferramentas de desenvolvimento, incluindo um montador, um ambiente gráfico de desenvolvimento integrado (IDE), um simulador gráfico do conjunto de instruções e código fonte VHDL para a simulação dos modelos. O PicoBlaze também é suportado no ambiente de desenvolvimento de gerador de sistemas da Xilinx. Todos os exemplos de aplicações são escritos em assemblrer e rodam no Xilinx KCPSM3 assembler.[2] O montador Mediatronix pBlazIDE disponibiliza uma função de importação que lê o código gerado pelo KCPSM3.[3]

Referências

  1. «PicoBlaze 8-bit Microcontroller» (PDF). Xilinx, Inc. Consultado em 25 de junho de 2007 
  2. Pong P. Chu (2008). FPGA Prototyping by VHDL Examples: Xilinx Spartan-3 Version. [S.l.]: Wiley & Sons. ISBN 978-0-470-18531-5 
  3. «PicoBlaze 8-bit Embedded Microcontroller User Guide» (PDF). Xilinx, Inc. Consultado em 25 de junho de 2007. Arquivado do original (PDF) em 27 de setembro de 2007 

Ligações externas

  • PicoBlaze on the Xilinx website
  • PicoBlaze product brief
  • PicoBlaze user manual
  • Professional IDE for Linux and Windows
  • v
  • d
  • e
Arquiteturas de processadores baseados em RISC
Altera Nios II • AMD Am29000 • Analog Devices Blackfin • Apollo PRISM • ARM • Atmel AVR • Atmel AVR32 • Cambridge Consultants XAP • DEC Alpha • DLX • HP PA-RISC • Intel i960 • LatticeMico32 • MIPS • Motorola 88000 • OpenRISC • Power • Renesas SuperH • RISC-V • SPARC • Xilinx MicroBlaze • Xilinx Picoblaze
Arquitectura de computadores
Ícone de esboço Este artigo sobre hardware é um esboço. Você pode ajudar a Wikipédia expandindo-o.
  • v
  • d
  • e